zachjs/sv2v

SystemVerilog to Verilog conversion

HaskellSystemVerilogVerilogShellPythonMakefileconversionverilogsystemverilogyosys
This is stars and forks stats for /zachjs/sv2v repository. As of 02 May, 2024 this repository has 404 stars and 46 forks.

sv2v: SystemVerilog to Verilog sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. This project was originally developed to target Yosys, and so allows for disabling...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
lqinyli/typroactionInno SetupPythonJavaScript150110
rom1504/clip-retrievalJupyter NotebookPythonJavaScript1.7k01650
sanger-tol/genomeassemblyNextflowGroovyShell4000
nf-core/spatialtranscriptomicsNextflowGroovyPython28080
SnoutBug/mmcklauncherQMLShellJavaScript980160
PeterSteiglechner/coherentagentsJupyter NotebookHTMLNetLogo0000
pydata/pydata-sphinx-themePythonSCSSHTML43302530
cardwing/Codes-for-PVKDPython45501090
TophantTechnology/ARLPythonJavaScriptShell4.2k07800
0x727/ShuiZe_0x727PythonOther3.2k+10540+2