chipsalliance/yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.

VerilogC++TclMakefilePythontoolchainfpgaedaxilinxyosysxilinx-fpgayosys-pluginf4pga
This is stars and forks stats for /chipsalliance/yosys-f4pga-plugins repository. As of 29 Apr, 2024 this repository has 76 stars and 47 forks.

Yosys F4PGA Plugins This repository contains plugins for Yosys developed as part of the F4PGA project. Design introspection plugin Adds several commands that allow for collecting information about cells, nets, pins and ports in the design or a selection of objects. Additionally provides functions to convert selection on TCL lists. Following commands are added with the plugin: get_cells get_nets get_pins get_ports get_count selection_to_tcl_list FASM plugin Writes out the design's fasm features based...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
Shougo/dein.vimVim ScriptPythonOther3.4k02000
3nock/sub3suiteCC++4270560
azerothcore/azerothcore-wotlkC++CCMake4.5k+262k+7
dottantgal/ROS2_learningC++CMake4170540
flyinghead/flycastC++HTMLC95601360
obsproject/obs-websocketC++CCMake3.5k+56870
diasurgical/devilutionXC++JavaCMake7.2k07290
ydamni/cloud-is-freeHTMLPythonJavaScript2410330
android-hacker/VirtualXposedJavaC++AIDL14.7k+92.5k-1
vimallinuxworld13/AWS_workshop_2022_dataJavaScriptPython8301160