stnolting/neorv32-verilog

♻️ Convert the NEORV32 processor into a synthesizable plain-Verilog netlist module using GHDL.

VerilogVHDLShellasicfpgaverilogicarus-verilogghdlneorv32
This is stars and forks stats for /stnolting/neorv32-verilog repository. As of 29 Apr, 2024 this repository has 28 stars and 8 forks.

NEORV32 in Verilog Prerequisites Configuration Conversion Simulation Evaluation This repository shows how to convert a complex VHDL design into a synthesizable plain Verilog netlist module using GHDL's synthesis feature. The example in this repository is based on the NEORV32 RISC-V Processor, which is written in platform-independent VHDL. The resulting Verilog module can be instantiated within an all-Verilog design and can be successfully simulated and synthesized - tested with Xilinx Vivado and...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
tjhorner/upsy-deskyShellC++3720110
wasm3/wasm3CC++Python6.3k04060
britton-jb/elixirconf_2022_broadway_trainingElixirCSSShell4020
ray-project/kuberayGoPythonMakefile53002280
docker/buildxGoDockerfileShell2.9k+6409+1
oracle-terraform-modules/terraform-oci-okeHCLShellPython13401830
jaykali/lockphishHTMLShellPHP72401120
appuio/component-openshift4-slosJsonnetMakefileShell0000
magnusviri/stable-diffusion-oldJupyter NotebookPythonShell89080
cloud-native-toolkit/toolkit-chartsSmartyMustacheShell160310