chipsalliance/chisel

Chisel: A Modern Hardware Design Language

ScalaC++PythonMakefileDockerfileVerilogscalachip-generatorchiselrtlchisel3firrtlverilog
This is stars and forks stats for /chipsalliance/chisel repository. As of 08 May, 2024 this repository has 3212 stars and 541 forks.

The Constructing Hardware in a Scala Embedded Language (Chisel) is an open-source hardware description language (HDL) used to describe digital electronics and circuits at the register-transfer level that facilitates advanced circuit generation and design reuse for both ASIC and FPGA digital logic designs. Chisel adds hardware construction primitives to the Scala programming language, providing designers with the power of a modern programming language to write complex, parameterizable circuit generators...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
trinitor/CVE-Vulnerability-Information-DownloaderShellDockerfile1250160
pmendozap/Ejemplo_TDD_2_22TclSystemVerilogMakefile00180
Makazone/my_vim_setVim ScriptPython0000
MoyGcc/vid2avatarPythonCythonShell9290660
lucasg/DependenciesC#C++PowerShell7k06060
ZeroMemoryEx/Amsi-KillerC++4950770
ZeroMemoryEx/APT38-0day-StealerC++2300350
llvm/circtC++MLIRPython1.4k02460
comp423-23s/rd05-sqlalchemyDockerfilePython0030
karaage0703/FlexGen-dockerDockerfile28070