VHDL-LS/rust_hdl

VHDLRustparserlanguage-servervhdlrust-programming-languagevhdl-parservhdl-language-server
This is stars and forks stats for /VHDL-LS/rust_hdl repository. As of 11 May, 2024 this repository has 246 stars and 51 forks.

Overview This repository contains a fast VHDL language server and analysis library written in Rust. The speed makes the tool very pleasant to use since it loads projects really fast and does not consume a lot of ram. A 200.000 line VHDL project is analyzed in 160 ms on my Desktop using 8 cores and only consumes 180 MByte of RAM when loaded. I very much appreciate help from other people especially regarding semantic analysis of VHDL. You do not need to be a programmer to help, it is even more helpful...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
Lux-AI-Challenge/Lux-Design-S2Jupyter NotebookPythonTypeScript39601480
jasonsbeer/Amiga-N2630KiCad LayoutHTMLVHDL29060
obsidiansystems/ledger-app-suiRustTypeScriptNix1020
tweag/topiaryRustSchemeTypeScript365080
Arrow-air/svc-devops-testMakefileRustDockerfile0000
fathyb/carbonylRustC++JavaScript13.4k02870
ikorb/gcvideoVHDLCPerl5870780
casey/ordRustHTMLShell2008250
jdxcode/rtxRustShellJust3.3k01010
Rustixir/darkbirdRust358060