hdl-util/hdmi

Send video/audio over HDMI on an FPGA

SystemVerilogPythonStataaudiovideofpgaintelxilinxvivadoalterahdmisystemverilogdviquartushdlmake
This is stars and forks stats for /hdl-util/hdmi repository. As of 29 Mar, 2024 this repository has 911 stars and 98 forks.

hdmi English | Français | Help translate SystemVerilog code for HDMI 1.4b video/audio output on an FPGA. Why? Most free and open source HDMI source (computer/gaming console) implementations actually output a DVI signal, which HDMI sinks (TVs/monitors) are backwards compatible with. To support audio and other HDMI-only functionality, a true HDMI signal must be sent. The code in this repository lets you do that without having to license an HDMI IP block from anyone. Demo: VGA-compatible text mode,...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
neomake/neomakeVim ScriptMakefileShell2.6k+3376-2
simplemx/toolsVim ScriptPythonJavaScript0000
DeimosC2/DeimosC2VueGoJavaScript1k+11580
abhi1kumar/DEVIANTC++PythonOther1690260
NoneMeme/NoneMemeHTMLJavaScriptShell500280
facebookresearch/multifacePythonShellHTML652+9480
diffgram/diffgramPythonVueJavaScript1.7k01130
lwd-temp/anti-ip-attributionPython764+689+1
zutalor/The-Memorial-Zutalor-Actionscript3-Framework-Rest-In-PeaceActionScriptPHPJavaScript1000
sifive/freedom-u-sdkCBitBakeC++25701240