ghdl/ghdl

VHDL 2008/93/87 simulator

VHDLAdaCPythonShellPowerShellOthersimulatorcompilerhardwarellvmvhdlgccghdlhacktoberfesttestbench
This is stars and forks stats for /ghdl/ghdl repository. As of 28 Mar, 2024 this repository has 2043 stars and 332 forks.

This directory contains the sources of GHDL, the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language (HDL). GHDL is not an interpreter: it allows you to analyse and elaborate sources for generating machine code from your design. Native program execution is the only way for high speed simulation. Main features Full support for the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partial for the 2008 and 2019 revisions. Partial...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
MiSTer-devel/PSX_MiSTerVHDLVerilogSystemVerilog1720400
aws/aws-fpgaVHDLSystemVerilogV1.4k05160
OSVVM/OSVVMVHDLTcl195-1520
vim/vimVim ScriptCRoff33.1k+445k+6
craftzdog/dotfiles-publicLuaShellPowerShell5.1k01.1k0
tpope/vim-sleuthVim ScriptPython1.6k0820
42Paris/42headerVim ScriptShell22401030
RRethy/vim-hexokinaseVim ScriptOther9610220
junegunn/fzf.vimVim ScriptShellOther9.1k+9572+1
HerringtonDarkholme/yats.vimVim ScriptMakefileJavaScript6400650