YosysHQ/picorv32

PicoRV32 - A Size-Optimized RISC-V CPU

VerilogAssemblyCMakefileShellPythonOther
This is stars and forks stats for /YosysHQ/picorv32 repository. As of 23 Apr, 2024 this repository has 2545 stars and 672 forks.

PicoRV32 - A Size-Optimized RISC-V CPU PicoRV32 is a CPU core that implements the RISC-V RV32IMC Instruction Set. It can be configured as RV32E, RV32I, RV32IC, RV32IM, or RV32IMC core, and optionally contains a built-in interrupt controller. Tools (gcc, binutils, etc..) can be obtained via the RISC-V Website. The examples bundled with PicoRV32 expect various RV32 toolchains to be installed in /opt/riscv32i[m][c]. See the build instructions below for details. Many Linux distributions now include the...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
efabless/caravel_user_projectVerilogOther13002940
ghdl/ghdlVHDLAdaC2k+13332+1
MiSTer-devel/PSX_MiSTerVHDLVerilogSystemVerilog1720400
aws/aws-fpgaVHDLSystemVerilogV1.4k05160
vim/vimVim ScriptCRoff33.1k+445k+6
craftzdog/dotfiles-publicLuaShellPowerShell5.1k01.1k0
tpope/vim-sleuthVim ScriptPython1.6k0820
prettier/vim-prettierVim ScriptJavaScriptDockerfile1.7k01380
42Paris/42headerVim ScriptShell22401030
RRethy/vim-hexokinaseVim ScriptOther9610220