OSVVM/OSVVM

OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...

VHDLTclcoveragealertssimulationmemoryvhdlverificationscoreboardmethodologyverification-methodologiestestbenchconstrained-randommemory-modelosvvm-blogosvvmcoverage-binstransaction-interfaces
This is stars and forks stats for /OSVVM/OSVVM repository. As of 19 Apr, 2024 this repository has 195 stars and 52 forks.

The OSVVM VHDL Verification Utility Library The OSVVM VHDL verification utility library implements advanced verification capabilities that are simple to use and feel like built-in language features. These include: Transaction-Level Modeling (TbUtilPkg, ResolutionPkg) Constrained Random test generation (RandomPkg) Functional Coverage with hooks for UCIS coverage database integration (CoveragePkg) Intelligent Coverage Random test generation (CoveragePkg) Utilities for testbench process synchronization...
Read on GithubGithub Stats Page
repotechsstarsweeklyforksweekly
TheLartians/ModernCppStarterCMakeC++3.7k03230
project-everest/hacl-starF*CPython1.5k01570
FStarLang/FStarF*OCamlPython2.5k+5233+2
FStarLang/karamelOCamlF*C367+1560
jlord/git-it-electronPerlHTMLVim Script4.5k+41.2k0
0vercl0k/CVE-2022-21971Rich Text Format3000650
SpinalHDL/SpinalHDLScalaVerilogPython1.4k02760
SwiftKickMobile/SwiftMessagesSwiftRubyObjective-C6.9k07320
pulp-platform/snitchSystemVerilogCRust2060440
projf/projf-exploreSystemVerilogTclC++455+1470